注意:此页面搜索的是所有试题
河南理工大学FPGA 原理与应用
变量和信号的描述正确的是()。 A.变量赋值号是:= B.信号赋值号是:= C.变量赋值号是<= D.二者没有区别
在EDA中,IP的中文含义是()。 A.网络供应商 B.在系统编程 C.没有特定意义 D.知识产权核
可编程逻辑器件的英文简称是()。 A.FPGA B.PLA C.PAL D.PLD
现场可编程门阵列的英文简称是()。 A.FPGA B.PLA C.PAL D.PLD
不属于顺序语句的是()。 A.IF语句 B.LOOP语句 C.PROCESS语句 D.CASE语句
VHDL中顺序语句放置位置说法正确的是()。 A.可以放在进程语句中 B.可以放在子程序中 C.不能放在任意位置 D.前面的说法都正确
VHDL运算符优先级的说法正确的是()。 A.逻辑运算的优先级最高 B.关系运算的优先级最高 C.逻辑运算的优先级最低 D.关系运算的优先级最低
使用STD_LOGIG_1164使用的数据类型时()。 A.可以直接调用 B.必须在库和包集合中声明 C.必须在实体中声明 D.必须在结构体中声明
可以不必声明而直接引用的数据类型是()。 A.STD_LOGIC B.STD_LOGIC_VECTOR C.BIT D.前面三个答案都是错误的
关于VHDL数据类型,正确的是()。 A.用户不能定义子类型 B.用户可以定义子类型 C.用户可以定义任何类型的数据 D.前面三个答案都是错误的
关于VHDL数据类型,正确的是()。 A.数据类型不同不能进行运算 B.数据类型相同才能进行运算 C.数据类型相同或相符就可以运算 D.运算与数据类型无关
变量和信号的描述正确的是()。 A.变量可以带出进程 B.信号可以带出进程 C.信号不能带出进程 D.二者没有区别
在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是_____。 A.PROCESS为一无限循环语句;敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动。 B.敏感信号参数表中,不一定要列出进程中使用的所有输入信号; C.进程由说明部分、结构体部分、和敏感信号三部分组成;(进程由声明语句、顺序语句、敏感信号列表组成) D.当前进程中声明的变量不可用于其他进程。
VHDL语言中信号定义的位置是()。 A.实体中中任何位置 B.实体中特定位置 C.结构体中任何位置 D.结构体中特定位置
关于1987标准的VHDL语言中,标识符描述正确的是()。 A.下划线可以连用 B.下划线不能连用 C.不能使用下划线 D.可以使用任何字符